This is default featured post 1 title

Go to Blogger edit html and find these sentences.Now replace these sentences with your own descriptions.This theme is Bloggerized by Lasantha Bandara - Premiumbloggertemplates.com.

This is default featured post 2 title

Go to Blogger edit html and find these sentences.Now replace these sentences with your own descriptions.This theme is Bloggerized by Lasantha Bandara - Premiumbloggertemplates.com.

This is default featured post 3 title

Go to Blogger edit html and find these sentences.Now replace these sentences with your own descriptions.This theme is Bloggerized by Lasantha Bandara - Premiumbloggertemplates.com.

This is default featured post 4 title

Go to Blogger edit html and find these sentences.Now replace these sentences with your own descriptions.This theme is Bloggerized by Lasantha Bandara - Premiumbloggertemplates.com.

This is default featured post 5 title

Go to Blogger edit html and find these sentences.Now replace these sentences with your own descriptions.This theme is Bloggerized by Lasantha Bandara - Premiumbloggertemplates.com.

Senin, 25 Oktober 2010

Multiplexer

Finondang Octavianus
20108820
3 KB04

library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity Multiplexer_VHDL is
port
(
a, b, c, d, e, f, g, h : in std_logic;
Sel : in std_logic_vector(2 downto 0);
Output : out std_logic
);
end entity Multiplexer_VHDL;
architecture Behavioral of Multiplexer_VHDL is
begin
process (a, b, c, d, e, f, g, h, Sel) is
begin
case Sel is
when "000" => Output <= a;
when "001" => Output <= b;
when "010" => Output <= c;
when "011" => Output <= d;
when "100" => Output <= e;
when "101" => Output <= f;
when "110" => Output <= g;
when others => Output <= h;
end case;
end process;
end architecture Behavioral;


Package Library VHDL

Finondang Octavianus
20108820
3 KB04

Library IEEE 
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;

use IEEE.math_real.all; use IEEE.math_complex.all;
use IEEE.numeric_bit.all; use IEEE.numeric_std.a 
library STD; 
use STD.textio; use STD.standard.all; 
library WORK : semua source code user akan dicompile dan dimasukkan ke dalam library ini 

Selasa, 19 Oktober 2010

"Pemrograman Devais FPGA"

FPGA (Field Programmable Gate Array) adalah sebuah IC digital yang sering digunakan karena beberapa kelebihannya yaitu salah satunya FPGA bersifat programmable (dapat diubah sesuka pemakainya). Akan tetapi FPGA juga bersifat volatile, yang artinya ketika sumber daya dicabut maka secara otomatis FPGA akan kehilangan fungsinya.

FPGA ini dikembangkan sejak tahun 1984 oleh perusahaan Xilink yang kemudian dikembangkan oleh beberapa perusahaan misalnya, Altera, Lattice, dan QuickLogic. Dua perusahaan yang mendominasi produksi FPGA saat ini di seluruh dunia yaitu Xilink dan Altera.

Bila dilihat dari segi bentuknya, FPGA tak berbeda jauh dengan bentuk IC-IC lainnya. Hanya saja, bila dilihat dari isinya FPGA memiliki bagian yang berbeda dengan komponen IC pada umumnya. Berikut isi dari FPGA pada umumnya:

  • CLB (Configure Logic Blocks) adalah bagian yang memproses segala bentuk rangkaian.
  • I/O Blocks
  • Programmable Interconnect

Kamis, 14 Oktober 2010

Mengapa Masih Harus Belajar Bahasa Indonesia

"Mengapa Masih Harus Belajar Bahasa Indonesia?"

     Bahasa Indonesia merupakan bahasa resmi bangsa Indonesia. Walaupun nenek moyang bangsa Indonesia berasal dari Melayu, tetap bahasa Indonesia dinomor-satukan. Karena dengan bahasa, itu dapat menyatukan sesama antar ada dan antar beragama.

     Di Indonesia memang terdapat banyak bahasa daerah. Tapi intinya bahasa Indonesia tetap nomor satu. Itu juga yang tercantum dalam Sumpah Pemuda yang berisi 3 (tiga) butir pernyataan akan kebanggaan pemuda akan bahsa Indonesia pada masa itu dan mesti kita terus isi kebanggaan tersebut. "Mengapa Masih Harus Belajar Bahasa Indonesia?". Sekilas pertanyaan tersebut terlihat mudah, tapi sulit untuk diuraikan. Karena tanpa kita sadari, kita mulai kurang fasih dalam berbahasa Indonesia, walaupun kita sebagai warga negara sekalipun. Itulah mengapa walau terlihat kecil dan mudah, seharusnya kita berbahasa Indonesia yang baik dan benar. Dengan begitu harkat dan moral bangsa Indonesia bisa terangkatdi mata dunia. Mari berbahasa Indonesia dengan baik dan benar.

Minggu, 03 Oktober 2010

100 kata baku dan tidak baku

Berikut adalah kumpulan kata baku dan tidak baku :

  • Azan = Adzan
  • Aktif = Aktip
  • Apotek = Apotik
  • Atlet = Atlit
  • Atmosfer = Atmosfir
  • Asas = Azas
  • Antre = Antri
  • Aktivitas = Aktifitas
  • Abjad = Abjat

  • Bus = Bis
  • Blanko = Blangko

  • Cendekiawan = Cendikiawan
  • Cabai = Cabe
  • Capai = Cape

  • Devaluasi = Defaluasi
  • Detail = Detil
  • Definisi = Difinisi
  • Durian = Duren

  • Ekstrem = Ekstrim
  • Elite = Elit
  • Ekuivalen = Ekwivalen

  • Februari = Pebruari
  • Faham = Paham
  • Frekuensi = Frekwensi

  • Genting = Genteng
  • Gua = Goa
  • Grup = Group

  •  Hakikat = Hakekat
  • Hafal = Hapal
  • Hilang = Ilang
  • Hutang = Utang
  • Hisap = Isap

  • Ideologi = Idiologi
  • Ijazah = Ijasah
  • Izin = Ijin
  • Itikad = Itikat
  • Infus = Inpus
  • Insaf = Insyaf
  • Influenza = Influensa

  •  Jadwal = Jadual
  • Jenazah = Jenasah

  • Kantung = Kantong
  • Karier = Karir
  • Kualitas = Kwalitas
  • Kuantitas = Kwantitas
  • Kualifikasi = Kwalifikasi
  • Kaidah = Kaedah
  • Khawatir = Kawatir
  • Konkret = Kongkrit
  • Kaus = Kaos
  • Kongres = Konggres

  • Linear = Linier
  • Lubang = Lobang

  • Macam = Macem
  • Mantap = Mantep
  • Milyar = Miliar
  • Mulia = Mulya
  • Mozaik = Mosaik
  • Metode = Metoda
  • Masjid = Mesjid
  • Mangkuk = Mangkok
  • Museum = Musium
  • Makhluk = Mahluk

  •  Napas = Nafas
  • Nasihat = Nasehat
  • Nomor = Nomer
  • Negeri = Negri
  • November = Nopember

  • Objek = Obyek
  • Objektif = Obyektif
  • Otopsi = Autopsy

  • Praktik = Praktek
  • Provinsi = Propinsi
  • Paspor = Pasport
  • Putra = Putera

  • Risiko = Resiko
  • Ramai = Rame
  • Rezeki = Rejeki
  • Rontgen = Rongsen
  • Ruh = Roh

  • Sistem = Sistim
  • Subjek = Subyek
  • Senin = Senen
  • Sentosa = Sentausa
  • Saraf = Syaraf
  • Syukur = Sukur
  • Sportifitas = Spotivitas
  • Saksama = Seksama
  • Sintesis = Sintesa
  • Standar = Standard

  • Takhta = Tahta
  • Teknik = Tehnik
  • Teknologi =  Tehnologi
  • Terampil = Trampil
  • Teater = Theater

  • Urine = Urin
  • Utang = Hutang

  • Jurisdiksi = Yurisdiksi
  • Judisial = Yudisial
  • Judikatif = Yudikatif