This is default featured post 1 title

Go to Blogger edit html and find these sentences.Now replace these sentences with your own descriptions.This theme is Bloggerized by Lasantha Bandara - Premiumbloggertemplates.com.

Kamis, 30 Desember 2010

Akhir AFF Suzuki Cup 2010

Normal 0 false false false EN-US X-NONE X-NONE MicrosoftInternetExplorer4 /* Style Definitions */ table.MsoNormalTable {mso-style-name:"Table Normal"; mso-tstyle-rowband-size:0; mso-tstyle-colband-size:0; mso-style-noshow:yes; mso-style-priority:99; ...

Pola Pengembangan Paragraf

Tugas Softskill 3 Paragraf merupakan sebuah kesatuan pikiran yang mengungkapkan ide pokok dalam bentuk rangkaian kalimat yang berkaitan dengan bentuk (kohesi) dan makna (koherensi). Ada beberapa pola pengembangan paragraf, yaitu : Paragraf definisi adalah paragraf yang menjelaskan sesuatu dengan jelas dengan konjungsi (adalah, yaitu, ialah) : Contoh pengembangan paragraf definisi : AFF Suzuki Cup 2010 adalah perhelatan sepakbola setiap 2 (dua) tahun sekali. Seluruh peserta AFF Suzuki Cup 2010 merupakan negara-negara di kawasan Asia Tenggara. Perhelatan sepakbola ini berganti nama dari sebelumnya Tiger Cup pada tahun 2008. Pola paragraph contoh, Paragraf contoh ebuah paragraf ilustrasi. Paragraf contoh dikembangkan menggunakan sebuah contoh atau ilustrasi. Contoh atau ilustrasi pada...

Minggu, 26 Desember 2010

Natal 2010

Normal 0 false false false EN-US X-NONE X-NONE MicrosoftInternetExplorer4 /* Style Definitions */ table.MsoNormalTable {mso-style-name:"Table Normal"; mso-tstyle-rowband-size:0; mso-tstyle-colband-size:0; mso-style-noshow:yes; mso-style-priority:99; ...

Awal baru 2011

Normal 0 false false false EN-US X-NONE X-NONE MicrosoftInternetExplorer4 /* Style Definitions */ table.MsoNormalTable {mso-style-name:"Table Normal"; mso-tstyle-rowband-size:0; mso-tstyle-colband-size:0; mso-style-noshow:yes; mso-style-priority:99; ...

Kedashyatan AFF 2010

Normal 0 false false false EN-US X-NONE X-NONE MicrosoftInternetExplorer4 /* Style Definitions */ table.MsoNormalTable {mso-style-name:"Table Normal"; mso-tstyle-rowband-size:0; mso-tstyle-colband-size:0; mso-style-noshow:yes; mso-style-priority:99; ...

Minggu, 14 November 2010

Membandingkan penggunaan gaya bahasa dari dua media cetak, Bahasa Indonesia 1

Tugas Softskill 2 (Membandingkan gaya bahasa dari 2 berita)Vettel, Juara Baru!inggu, 14 November 2010 - 22:25 wib ABU DHABI, Okezone.com - Sebastian Vettel (23) melibas Fernando Alonso dan Mark Webber untuk menjadi juara dunia termuda Formula One (F1) usai memenangkan seri terakhir di Sirkuit Yas Marina, Abu Dhabi, Minggu (14/11/2010). Pembalap Red Bull melakoni GP Abu Dhabi menempati posisi tiga klasemen pembalap, di belakang Webber dan Alonso (Ferrari), yang lebih dijagokan merengkuh gelar juara. Namun, performa tanpa celanya sukses mengantarkan Vettel merebut podium utama. Tampil sebagai pimpinan balapan (pole position), Vettel berhasil mempertahankan keunggulan dan finish 10 detik di depan duo McLaren, Lewis Hamilton serta Jenson Button. Baby Schumi agaknya harus mengucapkan terima kasih...

Senin, 25 Oktober 2010

Multiplexer

Finondang Octavianus201088203 KB04 library IEEE;use IEEE.STD_LOGIC_1164.ALL;entity Multiplexer_VHDL isport(a, b, c, d, e, f, g, h : in std_logic;Sel : in std_logic_vector(2 downto 0);Output : out std_logic);end entity Multiplexer_VHDL;architecture Behavioral of Multiplexer_VHDL isbeginprocess (a, b, c, d, e, f, g, h, Sel) isbegincase Sel iswhen "000" => Output <= a;when "001" => Output <= b;when "010" => Output <= c;when "011" => Output <= d;when "100" => Output <= e;when "101" => Output <= f;when "110" => Output <= g;when others => Output <= h; end case;end process;end architecture Behavioral...

Package Library VHDL

Finondang Octavianus 20108820 3 KB04 Library IEEE  use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; use IEEE.math_real.all; use IEEE.math_complex.all; use IEEE.numeric_bit.all; use IEEE.numeric_std.a  library STD;  use STD.textio; use STD.standard.all;  library WORK : semua source code user akan dicompile dan dimasukkan ke dalam library ini&nb...

Selasa, 19 Oktober 2010

"Pemrograman Devais FPGA"

FPGA (Field Programmable Gate Array) adalah sebuah IC digital yang sering digunakan karena beberapa kelebihannya yaitu salah satunya FPGA bersifat programmable (dapat diubah sesuka pemakainya). Akan tetapi FPGA juga bersifat volatile, yang artinya ketika sumber daya dicabut maka secara otomatis FPGA akan kehilangan fungsinya. FPGA ini dikembangkan sejak tahun 1984 oleh perusahaan Xilink yang kemudian dikembangkan oleh beberapa perusahaan misalnya, Altera, Lattice, dan QuickLogic. Dua perusahaan yang mendominasi produksi FPGA saat ini di seluruh dunia yaitu Xilink dan Altera. Bila dilihat dari segi bentuknya, FPGA tak berbeda jauh dengan bentuk IC-IC lainnya. Hanya saja, bila dilihat dari isinya FPGA memiliki bagian yang berbeda dengan komponen IC pada umumnya. Berikut isi dari FPGA...

Kamis, 14 Oktober 2010

Mengapa Masih Harus Belajar Bahasa Indonesia

"Mengapa Masih Harus Belajar Bahasa Indonesia?"      Bahasa Indonesia merupakan bahasa resmi bangsa Indonesia. Walaupun nenek moyang bangsa Indonesia berasal dari Melayu, tetap bahasa Indonesia dinomor-satukan. Karena dengan bahasa, itu dapat menyatukan sesama antar ada dan antar beragama.      Di Indonesia memang terdapat banyak bahasa daerah. Tapi intinya bahasa Indonesia tetap nomor satu. Itu juga yang tercantum dalam Sumpah Pemuda yang berisi 3 (tiga) butir pernyataan akan kebanggaan pemuda akan bahsa Indonesia pada masa itu dan mesti kita terus isi kebanggaan tersebut. "Mengapa Masih Harus Belajar...

Minggu, 03 Oktober 2010

100 kata baku dan tidak baku

Berikut adalah kumpulan kata baku dan tidak baku : Azan = Adzan Aktif = Aktip Apotek = Apotik Atlet = Atlit Atmosfer = Atmosfir Asas = Azas Antre = Antri Aktivitas = Aktifitas Abjad = Abjat Bus = Bis Blanko = Blangko Cendekiawan = Cendikiawan Cabai = Cabe Capai = Cape Devaluasi = Defaluasi Detail = Detil Definisi = Difinisi Durian = Duren Ekstrem = Ekstrim Elite = Elit Ekuivalen = Ekwivalen Februari = Pebruari Faham = Paham Frekuensi = Frekwensi Genting = Genteng Gua = Goa Grup = Group  Hakikat = Hakekat Hafal = Hapal Hilang = Ilang Hutang = Utang Hisap = Isap Ideologi = Idiologi Ijazah = Ijasah Izin = Ijin Itikad = Itikat Infus = Inpus Insaf = Insyaf Influenza = Influensa  Jadwal = Jadual Jenazah = Jenasah Kantung = Kantong Karier = Karir Kualitas = Kwalitas Kuantitas...

Pages 111234 »